Intel laptop processors

Intel Core are streamlined midrange consumer, workstation and enthusiast computer central processing units [CPUs] marketed by Intel Corporation. These processors displaced the existing mid- to high-end Pentium processors at the time of their introduction, moving the Pentium to the entry level. Identical or more capable versions of Core processors are also sold as Xeon processors for the server and workstation markets.

Intel CoreLaunchedMarketed byDesigned byArchitectureMicroarchitectureCoresBrandname[s]Predecessor
January 2006
Intel
Intel
x86, x86-64
    • Mainstream: 216 [up to 10 performance cores]
    • HEDT: Up to 18[1]
    • Core
    • Core 2
    • Core i3
    • Core i5
    • Core i7
    • Core i9
Pentium

The lineup of Core processors includes the Intel Core i3, Intel Core i5, Intel Core i7, and Intel Core i9, along with the X-series of Intel Core CPUs.[2][3]

Although Intel Core is a brand that promises no internal consistency or continuity, the processors within this family have been, for the most part, broadly similar.

The first products receiving this designation were the Core Solo and Core Duo Yonah processors for mobile from the Pentium M design tree, fabricated at 65nm and brought to market in January 2006. These are substantially different in design than the rest of the Intel Core product group, having derived from the Pentium Pro lineage that predated Pentium 4.

The first Intel Core desktop processorand typical family membercame from the Conroe iteration, a 65nm dual-core design fabricated brought to market in July 2006, based on the Intel Core microarchitecture with substantial enhancements in micro-architectural efficiency and performance, outperforming Pentium 4 across the board [or near to it], while operating at drastically lower clock rates. Maintaining high instructions per cycle [IPC] on a deeply pipelined and resourced out-of-order execution engine has remained a constant fixture of the Intel Core product group ever since.

The new substantial bump in microarchitecture came with the introduction of the 45nm Bloomfield desktop processor in November 2008 on the Nehalem architecture, whose main advantage came from redesigned I/O and memory systems featuring the new Intel QuickPath Interconnect and an integrated memory controller supporting up to three channels of DDR3 memory.

Subsequent performance improvements have tended toward making additions rather than profound changes, such as adding the Advanced Vector Extensions instruction set extensions to Sandy Bridge, first released on 32nm in January 2011. Time has also brought improved support for virtualization and a trend toward higher levels of system integration and management functionality [and along with that, increased performance] through the ongoing evolution of facilities such as Intel Active Management Technology.

Since 2019, the Core brand has been based on four product lines, consisting of the entry level i3, the mainstream i5, the high-end i7, and the "enthusiast" i9.

ComparisonEdit

VulnerabilitiesEdit

In early 2018, news reports indicated that security flaws, referred to as "Meltdown" and "Spectre", were found "in virtually all Intel processors [made in the past two decades] that will require fixes within Windows, macOS and Linux". The flaw also affected cloud servers. At the time, Intel was not commenting on this issue.[17][18] According to a New York Times report, "There is no easy fix for Spectre ... as for Meltdown, the software patch needed to fix the issue could slow down computers by as much as 30 percent".[19]

In mid 2018, the majority of Intel Core processors were found to possess a defect [the Foreshadow vulnerability], which undermines the Software Guard Extensions [SGX] feature of the processor.[20][21][22] In March 2020, computer security experts reported another Intel chip security flaw, besides the Meltdown and Spectre flaws, with the systematic name CVE-2019-0090 [or, "Intel CSME Bug"]. This newly found flaw is not fixable with a firmware update, and affects nearly "all Intel chips released in the past five years".[23][24][25]

Clock speeds range from 1.2GHz to 4.2GHz. [Intel Core i7-7700K] [or 4.5GHz via Intel Turbo Boost Technology][27]

The original Core brand refers to Intel's 32-bit mobile dual-core x86 CPUs, which derived from the Pentium M branded processors. The processor family used an enhanced version of the Intel P6 microarchitecture. It emerged in parallel with the NetBurst microarchitecture [Intel P68] of the Pentium 4 brand, and was a precursor of the 64-bit Core microarchitecture of Core 2 branded CPUs. The Core brand had two branches: the Duo [dual-core] and Solo [Duo with one disabled core, which replaced the Pentium M brand of single-core mobile processor].

Intel launched the Core brand on January 6, 2006 with the release of the 32-bit Yonah CPU Intel's first dual-core mobile [low-power] processor. Its dual-core layout closely resembled two interconnected Pentium M branded CPUs packaged as a single die [piece] silicon chip [IC]. Hence, the 32-bit microarchitecture of Core branded CPUs contrary to its name had more in common with Pentium M branded CPUs than with the subsequent 64-bit Core microarchitecture of Core 2 branded CPUs. Despite a major rebranding effort by Intel starting January 2006, some companies continued to market computers with the Yonah core marked as Pentium M.

The Core series is also the first Intel processor used as the main CPU in an Apple Macintosh computer. The Core Duo was the CPU for the first generation MacBook Pro, while the Core Solo appeared in Apple's Mac Mini line. Core Duo signified the beginning of Apple's shift to Intel processors across the entire Mac line.

In 2007, Intel began branding the Yonah core CPUs intended for mainstream mobile computers as Pentium Dual-Core, not to be confused with the desktop 64-bit Core microarchitecture CPUs also branded as Pentium Dual-Core.

September 2007 and January 4, 2008, marked the discontinuation of a number of Core branded CPUs including several Core Solo, Core Duo, Celeron and one Core 2 Quad chip.[28][29]

Core SoloEdit

Intel Core Solo[30] [product code 80538] uses the same two-core die as the Core Duo, but features only one active core. Depending on demand, Intel may also simply disable one of the cores to sell the chip at the Core Solo pricethis requires less effort than launching and maintaining a separate line of CPUs that physically only have one core. Intel had used the same strategy previously with the 486 CPU in which early 486SX CPUs were in fact manufactured as 486DX CPUs but with the FPU disabled.

Core DuoEdit

Intel Core Duo[31] [product code 80539] consists of two cores on one die, a 2MB L2 cache shared by both cores, and an arbiter bus that controls both L2 cache and FSB [front-side bus] access.

The successor to Core is the mobile version of the Intel Core 2 line of processors using cores based upon the Intel Core microarchitecture,[32] released on July 27, 2006. The release of the mobile version of Intel Core 2 marks the reunification of Intel's desktop and mobile product lines as Core 2 processors were released for desktops and notebooks, unlike the first Intel Core CPUs that were targeted only for notebooks [although some small form factor and all-in-one desktops, like the iMac and the Mac Mini, also used Core processors].

Unlike the Intel Core, Intel Core 2 is a 64-bit processor, supporting Intel 64. Another difference between the original Core Duo and the new Core 2 Duo is an increase in the amount of Level 2 cache. The new Core 2 Duo has tripled the amount of on-board cache to 6MB. Core 2 also introduced a quad-core performance variant to the single- and dual-core chips, branded Core 2 Quad, as well as an enthusiast variant, Core 2 Extreme. All three chips are manufactured at a 65nm lithography, and in 2008, a 45nm lithography and support Front Side Bus speeds ranging from 533MHz to 1600MHz. In addition, the 45nm die shrink of the Core microarchitecture adds SSE4.1 support to all Core 2 microprocessors manufactured at a 45nm lithography, therefore increasing the calculation rate of the processors.

Core 2 SoloEdit

The Core 2 Solo,[33] introduced in September 2007, is the successor to the Core Solo and is available only as an ultra-low-power mobile processor with 5.5 Watt thermal design power. The original U2xxx series "Merom-L" used a special version of the Merom chip with CPUID number 10661 [model 22, stepping A1] that only had a single core and was also used in some Celeron processors. The later SU3xxx are part of Intel's CULV range of processors in a smaller µFC-BGA 956 package but contain the same Penryn chip as the dual-core variants, with one of the cores disabled during manufacturing.

Core 2 DuoEdit

Inside of a Sony VAIO laptop [VGN-C140G]

The majority of the desktop and mobile Core 2 processor variants are Core 2 Duo[34][35] with two processor cores on a single Merom, Conroe, Allendale, Penryn, or Wolfdale chip. These come in a wide range of performance and power consumption, starting with the relatively slow ultra-low-power Uxxxx [10W] and low-power Lxxxx [17W] versions, to the more performance oriented Pxxxx [25W] and Txxxx [35W] mobile versions and the Exxxx [65W] desktop models. The mobile Core 2 Duo processors with an 'S' prefix in the name are produced in a smaller µFC-BGA 956 package, which allows building more compact laptops.

Within each line, a higher number usually refers to a better performance, which depends largely on core and front-side bus clock frequency and amount of second level cache, which are model-specific. Core 2 Duo processors typically use the full L2 cache of 2, 3, 4, or 6MB available in the specific stepping of the chip, while versions with the amount of cache reduced during manufacturing are sold for the low-end consumer market as Celeron or Pentium Dual-Core processors. Like those processors, some low-end Core 2 Duo models disable features such as Intel Virtualization Technology.

Core 2 QuadEdit

Core 2 Quad[36][37] processors are multi-chip modules consisting of two dies similar to those used in Core 2 Duo, forming a quad-core processor. This allows twice the performance of a dual-core processors at the same clock frequency in ideal conditions.

Initially, all Core 2 Quad models were versions of Core 2 Duo desktop processors, Kentsfield derived from Conroe and Yorkfield from Wolfdale, but later Penryn-QC was added as a high-end version of the mobile dual-core Penryn.

The Xeon 32xx and 33xx processors are mostly identical versions of the desktop Core 2 Quad processors and can be used interchangeably.

Core 2 ExtremeEdit

Core 2 Extreme processors[38][39] are enthusiast versions of Core 2 Duo and Core 2 Quad processors, usually with a higher clock frequency and an unlocked clock multiplier, which makes them especially attractive for overclocking. This is similar to earlier Pentium processors labeled as Extreme Edition. Core 2 Extreme processors were released at a much higher price than their regular version, often $999 or more.

1st generationEdit

Nehalem microarchitectureEdit

With the release of the Nehalem microarchitecture in November 2008,[40] Intel introduced a new naming scheme for its Core processors. There are three variants, Core i3, Core i5 and Core i7, but the names no longer correspond to specific technical features like the number of cores. Instead, the brand is now divided from low-level [i3], through mid-range [i5] to high-end performance [i7],[41] which correspond to three, four and five stars in Intel's Intel Processor Rating[42] following on from the entry-level Celeron [one star] and Pentium [two stars] processors.[43] Common features of all Nehalem based processors include an integrated DDR3 memory controller as well as QuickPath Interconnect or PCI Express and Direct Media Interface on the processor replacing the aging quad-pumped Front Side Bus used in all earlier Core processors. All these processors have 256KB L2 cache per core, plus up to 12MB shared L3 cache. Because of the new I/O interconnect, chipsets and mainboards from previous generations can no longer be used with Nehalem-based processors.

Core i3Edit

Intel intended the Core i3 as the new low end of the performance processor line from Intel, following the retirement of the Core 2 brand.[44][45]

The first Core i3 processors were launched on January 7, 2010.[46]

The first Nehalem based Core i3 was Clarkdale-based, with an integrated GPU and two cores.[47] The same processor is also available as Core i5 and Pentium, with slightly different configurations.

The Core i3-3xxM processors are based on Arrandale, the mobile version of the Clarkdale desktop processor. They are similar to the Core i5-4xx series but running at lower clock speeds and without Turbo Boost.[48] According to an Intel FAQ they do not support Error Correction Code [ECC] memory.[49] According to motherboard manufacturer Supermicro, if a Core i3 processor is used with a server chipset platform such as Intel 3400/3420/3450, the CPU supports ECC with UDIMM.[50] When asked, Intel confirmed that, although the Intel 5 series chipset supports non-ECC memory only with the Core i5 or i3 processors, using those processors on a motherboard with 3400 series chipsets it supports the ECC function of ECC memory.[51] A limited number of motherboards by other companies also support ECC with Intel Core ix processors; the Asus P8B WS is an example, but it does not support ECC memory under Windows non-server operating systems.[52]

Core i5Edit

Lynnfield was the first Core i5 processors using the Nehalem microarchitecture, introduced on September 8, 2009 as a mainstream variant of the earlier Core i7.[53][54] Lynnfield Core i5 processors have an 8MB L3 cache, a DMI bus running at 2.5GT/s and support for dual-channel DDR3-800/1066/1333 memory and have Hyper-threading disabled. The same processors with different sets of features [Hyper-threading and other clock frequencies] enabled are sold as Core i7-8xx and Xeon 3400-series processors, which should not be confused with high-end Core i7-9xx and Xeon 3500-series processors based on Bloomfield. A new feature called Turbo Boost Technology was introduced which maximizes speed for demanding applications, dynamically accelerating performance to match the workload.

After Nehalem received a 32 nm Westmere die shrink, Arrandale, the dual-core mobile Core i5 processors and its desktop counterpart Clarkdale was introduced in January 2010, together with Core i7-6xx and Core i3-3xx processors based on the same architecture. Arrandale processors have integrated graphics capability. Core i3-3xx does not support for Turbo Boost, L3 cache in Core i5-5xx processors is reduced to 3MB, while the Core i5-6xx uses the full cache[55] , Clarkdale is sold as Core i5-6xx, along with related Core i3 and Pentium processors. It has Hyper-Threading enabled and the full 4MB L3 cache.[56]

According to Intel "Core i5 desktop processors and desktop boards typically do not support ECC memory",[57] but information on limited ECC support in the Core i3 section also applies to Core i5 and i7.[citation needed]

Core i7Edit

Intel Core i7 as an Intel brand name applies to several families of desktop and laptop 64-bit x86-64 processors using the Nehalem, Westmere, Sandy Bridge, Ivy Bridge, Haswell, Broadwell, Skylake, and Kaby Lake microarchitectures. The Core i7 brand targets the business and high-end consumer markets for both desktop and laptop computers,[59] and is distinguished from the Core i3 [entry-level consumer], Core i5 [mainstream consumer], and Xeon [server and workstation] brands.

Introduced in late 2008, Bloomfield was the first Core i7 processors based on the Nehalem architecture.[60][61][62][63] The following year, Lynnfield desktop processors and Clarksfield mobile processors brought new quad-core Core i7 models based on the said architecture.[64]

After Nehalem received a 32 nm Westmere die shrink, Arrandale dual-core mobile processors were introduced in January 2010, followed by Core i7's first six-core desktop processor Gulftown in March 16, 2010. Both the regular Core i7 and the Extreme Edition are advertised as five stars in the Intel Processor Rating.

The first-generation Core i7 uses two different sockets; LGA 1366 designed for high-end desktops and servers, and LGA 1156 used in low- and mid-end desktops and servers. In each generation, the highest-performing Core i7 processors use the same socket and QPI-based architecture as the medium-end Xeon processors of that generation, while lower-performing Core i7 processors use the same socket and PCIe/DMI/FDI architecture as the Core i5.

"Core i7" is a successor to the Intel Core 2 brand.[65][66][67][68] Intel representatives stated that they intended the moniker Core i7 to help consumers decide which processor to purchase as Intel releases newer Nehalem-based products in the future.[69]

2nd generationEdit

Sandy Bridge microarchitectureEdit

In early 2011, Intel introduced a new microarchitecture named Sandy Bridge. This is the second generation of the Core processor microarchitecture. It kept all the existing brands from Nehalem, including Core i3/i5/i7, and introduced new model numbers. The initial set of Sandy Bridge processors includes dual- and quad-core variants, all of which use a single 32nm die for both the CPU and integrated GPU cores, unlike the earlier microarchitectures. All Core i3/i5/i7 processors with the Sandy Bridge microarchitecture have a four-digit model number. With the mobile version, the thermal design power can no longer be determined from a one- or two-letter suffix but is encoded into the CPU number. Starting with Sandy Bridge, Intel no longer distinguishes the code names of the processor based on number of cores, socket or intended usage; they all use the same code name as the microarchitecture itself.

Ivy Bridge is the codename for Intel's 22nm die shrink of the Sandy Bridge microarchitecture based on tri-gate ["3D"] transistors, introduced in April 2012.

Core i3Edit

Released on January 20, 2011, the Core i3-2xxx line of desktop and mobile processors is a direct replacement of the 2010 "Clarkdale" Core i3-5xx and "Arrandale" Core i3-3xxM models, based on the new microarchitecture. While they require new sockets and chipsets, the user-visible features of the Core i3 are largely unchanged, including the lack of support for Turbo Boost and AES-NI. Unlike the Sandy Bridge-based Celeron and Pentium processors, the Core i3 line does support the new Advanced Vector Extensions. This particular processor is the entry-level processor of this new series of Intel processors.

Core i5Edit

A Core i5-2500K. The K suffix indicates an unlocked clock multiplier, which allows for easier overclocking.

In January 2011, Intel released new quad-core Core i5 processors based on the "Sandy Bridge" microarchitecture at CES 2011. New dual-core mobile processors and desktop processors arrived in February 2011.

The Core i5-2xxx line of desktop processors are mostly quad-core chips, with the exception of the dual-core Core i5-2390T, and include integrated graphics, combining the key features of the earlier Core i5-6xx and Core i5-7xx lines. The suffix after the four-digit model number designates unlocked multiplier [K], low-power [S] and ultra-low-power [T].

The desktop CPUs now all have four non-SMT cores [like the i5-750], with the exception of the i5-2390T. The DMI bus runs at 5GT/s.

The mobile Core i5-2xxxM processors are all dual-core and hyper-threaded chips like the previous Core i5-5xxM series, and share most of the features with that product line.

Core i7Edit

The Core i7 brand was the high-end for Intel's desktop and mobile processors, until the announcement of the i9 in 2017. Its Sandy Bridge models feature the largest amount of L3 cache and the highest clock frequency. Most of these models are very similar to their smaller Core i5 siblings. The quad-core mobile Core i7-2xxxQM/XM processors follow the previous "Clarksfield" Core i7-xxxQM/XM processors, but now also include integrated graphics.

3rd generationEdit

Ivy Bridge microarchitectureEdit

Ivy Bridge is the codename for a "third generation" line of processors based on the 22nm manufacturing process developed by Intel. Mobile versions of the CPU were released on April 2012 following with desktop versions on September 2012.

Core i3Edit

The Ivy Bridge-based Core-i3-3xxx line is a minor upgrade to 22nm process technology and better graphics.

Core i5Edit

Core i7Edit

4th generationEdit

Haswell microarchitectureEdit

Haswell is the fourth generation Core processor microarchitecture, and was released in 2013.

Core i3Edit

Core i5Edit

Core i7Edit

5th generationEdit

Broadwell microarchitectureEdit

Broadwell is the fifth generation Core processor microarchitecture, and was released by Intel on September 6, 2014, and began shipping in late 2014. It is the first to use a 14nm chip.[71] Additionally, mobile processors were launched in January 2015[72] and Desktop Core i5 and i7 processors were released in June 2015.[73]

Desktop processor [DT-Series]Edit

Mobile processors [U-Series]Edit

Processor brandingModel [list]Cores
[Threads]L3 CacheGPU ModelSocketTDPProcessI/O BusRelease
Date
Core i75xx7U2 [4]4MBIris 6100BGA 116828W14nmDirect Media Interface,
Integrated GPU
January 2015
5x50UHD 600015W
5x00UHD 5500
Core i55xx7U2 [2]3MBIris 610028W
5x50UHD 600015W
5x00UHD 5500
Core i35xx7UIris 610028W
5xx5UHD 550015W
5xx0U

Mobile Processors [Y-Series]Edit

Processor brandingModel [list]Cores
[Threads]L3 CacheGPU ModelSocketTDPProcessI/O BusRelease
Date
Core M5Yxx2 [2]4MBHD 5300BGA 12344.5W14nmDirect Media Interface,
Integrated GPU
September 2014

6th generationEdit

Broadwell microarchitectureEdit

High-end Desktop Processors [E-Series]Edit

Skylake microarchitectureEdit

Skylake is the sixth generation Core processor microarchitecture, and was launched in August 2015. Being the successor to the Broadwell line, it is a redesign using the same 14nm manufacturing process technology; however the redesign has better CPU and GPU performance and reduced power consumption. Intel also disabled overclocking non -K processors.

Desktop processors [DT-Series]Edit

Processor BrandingModelCores/ThreadsL3 CacheGPU ModelSocketTDPProcessI/O BusRelease Date
Core i76700K4/88MBHD 530LGA 115191W14nmDirect Media Interface,

Integrated GPU

August 2015
670065WSeptember 2015
6700T35W
6785RIris Pro 58065WMay 2016
Core i56600K4/46MBHD 53091WSeptember 2015
660065W
6500
6400
6402PHD 510December 2015
6xx0RHD 53035WJune 2016
6xx0TSeptember 2015
Core i363202/44MBHD 53051W
6300
6300T35W
61003MBHD 53051W
6100T35W
6098PHD 51054WDecember 2015

Mobile processors [H-Series]Edit

Processor BrandingModelCores/ThreadsL3 CacheGPU ModelSocketTDPProcessI/O BusRelease Date
Core i36100H2/43MBHD 530FBGA 135635W14nmDirect Media Interface,

Integrated GPU

September 2015

Mobile processors [U-Series]Edit

Processor BrandingModelCores/ThreadsL3 CacheGPU ModelSocketTDPProcessI/O BusRelease Date
Core i76650U2/44MBIris 540FCBGA 135615W14nmDirect Media Interface,

Integrated GPU

September 2015
6600UHD 52025W
6567UIris 55028W
6x60UIris 54015W
6x00UHD 520
Core i562x7UIris 55028W
6360UIris 5409.5W
6300UHD 52015W
6260UIris 540
6200U3MBHD 520
Core i36167UHD 55028W
6100UHD 52015W
6006UHD 520November 2016

7th generationEdit

Skylake microarchitectureEdit

High-end Desktop processors [X-Series]Edit

Processor BrandingModelCores/ThreadsL3 CacheSocketTDPProcessI/O BusPrice
Core i97980XE18/36?LGA 2066?14nmDirect Media Interface$1999
7960X16/32$1699
7940X14/28$1399
7920X12/24$1199
7900X10/2013.75MB140W$999
Core i77820X8/1611MB$599
7800X6/128.25MB$389

Kaby LakeEdit

Kaby Lake is the codename for the seventh generation Core processor, and was launched in October 2016 [mobile chips][74] and January 2017 [desktop chips].[75] With the latest generation of microarchitecture, Intel decided to produce Kaby Lake processors without using their "ticktock" manufacturing and design model.[76] Kaby Lake features the same Skylake microarchitecture and is fabricated using Intel's 14 nanometer manufacturing process technology.[76]

FeaturesEdit

Built on an improved 14nm process [14FF+], Kaby Lake features faster CPU clock speeds and Turbo frequencies. Beyond these process and clock speed changes, little of the CPU architecture has changed from Skylake, resulting in identical IPC.

Kaby Lake features a new graphics architecture to improve performance in 3D graphics and 4K video playback. It adds native HDCP 2.2 support, along with fixed function decode of H.264, HEVC Main and Main10/10-bit, and VP9 10-bit and 8-bit video. Hardware encode is supported for H.264, HEVC Main10/10-bit, and VP9 8-bit video. VP9 10-bit encode is not supported in hardware. OpenCL 2.1 is now supported.

Kaby Lake is the first Core architecture to support hyper-threading for the Pentium-branded desktop CPU SKU. Kaby Lake also features the first overclocking-enabled i3-branded CPU.

Features common to desktop Kaby Lake CPUs:

  • LGA 1151 socket
  • DMI 3.0 and PCIe 3.0 interfaces
  • Dual channel memory support in the following configurations: DDR3L-1600 1.35V [32GiB maximum] or DDR4-2400 1.2V [64GiB maximum]
  • A total of 16 PCIe lanes
  • The Core-branded processors support the AVX2 instruction set. The Celeron and Pentium-branded ones support only SSE4.1/4.2
  • 350MHz base graphics clock rate
  • No L4 cache [eDRAM].
  • A release date of January 3, 2017

Desktop processors [S-Series]Edit

Processor

branding

ModelCores [threads]CPU

clock rate

CPU Turbo clock rateGPU modelMaximum

GPU clock rate

L3

cache

TDPPrice [USD]Single coreDual coreQuad core
Core i77700K4 [8]4.2GHz4.5GHz4.4GHz4.4GHzHD 6301150MHz8MB91W$350
77003.6GHz4.2GHz4.1GHz4.0GHz65W$312
7700T2.9GHz3.8GHz3.7GHz3.6GHz35W
Core i57600K4 [4]3.8GHz4.2GHz4.1GHz4.0GHz6MB91W$243
76003.5GHz4.1GHz4.0GHz3.9GHz65W$224
7600T2.8GHz3.7GHz3.6GHz3.5GHz1100MHz35W
75003.4GHz3.8GHz3.7GHz3.6GHz65W$202
7500T2.7GHz3.3GHz3.2GHz3.1GHz35W
74003.0GHz3.5GHz3.4GHz3.3GHz1000MHz65W$182
7400T2.4GHz3.0GHz2.9GHz2.7GHz35W$187
Core i37350K2 [4]4.2GHzN/A1150MHz4MB60W$179
73204.1GHz51W$157
73004.0GHz$147
7300T3.5GHz1100MHz35W
71003.9GHz3MB51W$117
7100T3.4GHz35W
7101E3.9GHz54W
7101TE3.4GHz35W

Mobile Processors [H-Series]Edit

Processor

branding

ModelCores [threads]CPU

clock rate

CPU Turbo clock rateGPUGPU clock rateL3

cache

Max. PCIe lanesTDPcTDPRelease datePrice [USD]Single coreDual coreQuad coreBaseMax.UpDown
Core i77920HQ4 [8]3.1GHz4.1GHz3.9GHz3.7GHzHD 630350MHz1100MHz8MB1645WN/A35WQ1 2017$568
7820HQ2.9GHz3.9GHz3.7GHz3.5GHz$378
7820HK
7700HQ2.8GHz3.8GHz3.6GHz3.4GHz6MB
Core i57440HQ4 [4]1000MHz$250
7300HQ2.5GHz3.5GHz3.3GHz3.1GHz
Core i37100H2 [4]3.0GHzN/A950MHz3MB35WN/A$225

Mobile Processors [U-Series]Edit

Processor

branding

ModelCores

[threads]

CPU

clock rate

CPU Turbo clock rateGPUGPU clock rateL3

cache

L4

cache

Max. PCIe lanesTDPcTDPRelease datePrice [USD]Single coreDual coreBaseMax.UpDown
Core i77660U2 [4]2.5GHz4.0GHz?Iris Plus 640300MHz1100MHz4MB64MB1215WN/A9.5WQ1 2017?
7600U2.8GHz3.9GHzHD 6201150MHzN/A25W7.5W$393
7567U3.5GHz4.0GHzIris Plus 65064MB28WN/A23W?
7560U2.4GHz3.8GHzIris Plus 6401050MHz15W9.5W
7500U2.7GHz3.5GHzHD 620N/A25W7.5WQ3 2016$393
Core i57360U2.3GHz3.6GHzIris Plus 6401000MHz4MB64MB1215WN/A9.5WQ1 2017?
7300U2.6GHz3.5GHzHD 6201100MHz3MBN/A1215W25W7.5W$281
7287U3.3GHz3.7GHzIris Plus 6504MB64MB28WN/A23W?
7267U3.1GHz3.5GHz1050MHz
7260U2.2GHz3.4GHzIris Plus 640950MHz15W9.5W
7200U2.5GHz3.1GHzHD 6201000MHz3MBN/A25W7.5WQ3 2016$281
Core i37167U2.8GHzN/AIris Plus 6501000MHz3MB64MB1228WN/A23WQ1 2017?
7100U2.4GHzHD 620N/A15W7.5WQ3 2016$281

Mobile Processors [Y-Series]Edit

Processor

branding

ModelCores

[threads]

CPU

clock rate

CPU Turbo clock rateGPUGPU clock rateL3

cache

Max. PCIe lanesTDPcTDPRelease datePrice [USD]Single coreDual coreBaseMax.UpDown
Core m77Y752 [4]1.3GHz3.6GHz?HD 615300MHz1050MHz4MB104.5W7W3.5WQ3 2016$393
Core m57Y571.2GHz3.3GHz950MHzQ1 2017$281
7Y543.2GHzQ3 2016
Core m37Y301.0GHz2.6GHz900MHz
7Y321.1GHz3.0GHzQ2 2017

High-end Desktop processors [X-Series]Edit

Kaby Lake-X processors are modified versions of Kaby Lake-S processors that fit into the LGA 2066 socket. However, they can't take advantage of the unique features of the platform.

Processor

branding

ModelCores [threads]CPU

clock rate

CPU Turbo clock rateL3

cache

TDPPrice [USD]Single coreDual coreQuad core
Core i77740X4 [8]4.3GHz4.5GHz4.4GHz4.4GHz8MB112W$339
Core i57640X4 [4]4.0GHz4.2GHz4.1GHz4.0GHz6MB$242

8th generationEdit

Kaby Lake RefreshEdit

Mobile processors [U-Series]Edit

Processor
brandingModelCores
[threads]CPU
clock
rateCPU Turbo clock rateGPUGPU clock rateL3
cacheL4
cacheMax.
PCIe
lanesTDPcTDPRelease
datePrice
[USD]Single
coreDual
coreQuad
coreBaseMax.UpDown
Core i78650U4 [8]1.9GHz4.2GHz3.9GHzUHD 620300MHz1150MHz8MBN/A1215W25W10WQ3 2017$409
8550U1.8GHz4.0GHz3.7GHz
Core i58350U1.7GHz3.6GHz1100MHz6MB$297
8250U1.6GHz3.4GHz

Coffee Lake microarchitectureEdit

Coffee Lake is a codename for the eighth generation Intel Core family and was launched in October 2017. For the first time in the ten-year history of Intel Core processors, the Coffee Lake generation features an increase in core counts across the desktop lineup of processors, a significant driver of improved performance versus previous generations despite similar per-clock performance.

Increase in number of CPU cores in desktop Coffee Lake processorsKaby Lake
[7th Generation]Coffee Lake
[8th Generation]Cores / ThreadsCores / ThreadsCore i3Core i5Core i7
2 / 404 / 40
4 / 406 / 60
4 / 806 / 12

* Intel Hyper-threading capabilities allow an enabled processor to execute two threads per physical core

FeaturesEdit

Coffee Lake features largely the same CPU core and performance per MHz as Skylake/Kaby Lake.[77][78] Features specific to Coffee Lake include:

  • Following similar refinements to the 14nm process in Skylake and Kaby Lake, Coffee Lake is the third 14nm process refinement ["14nm++"] and features increased transistor gate pitch for a lower current density and higher leakage transistors which allows higher peak power and higher frequency at the expense of die area and idle power.
  • Coffee Lake will be used in conjunction with the 300-series chipset and is incompatible with the older 100- and 200-series chipsets.[79][80]
  • Increased L3 cache in accordance to the number of cores
  • Increased turbo clock speeds across i5 and i7 CPUs models [increased by up to 200MHz]
  • Increased iGPU clock speeds by 50MHz
  • DDR4 memory support updated for 2666MHz [for i5 and i7 parts] and 2400MHz [for i3 parts]; DDR3 memory is no longer supported

Desktop processors [S-Series]Edit

Processor
brandingModelCores

[threads]

Base CPU
clock rateTurbo clock rate[81] [GHz]GPUmax GPU
clock rateL3
cacheTDPMemory
supportPrice
[USD]Number of cores used123456
Core i78086K6 [12]4.0GHz5.04.64.54.44.3UHD 6301.20GHz12MB95WDDR4

2666

$425
8700K3.7GHz4.7$359
87003.2GHz4.64.54.44.365W$303
8700T2.4GHz4.03.9 or 4.0?3.93.835W
Core i58600K6 [6]3.6GHz4.34.24.11.15GHz9MB95W$257
86003.1GHz65W$213
8600T2.3GHz3.73.63.535W
85003.0GHz4.14.03.91.10GHz65W$192
8500T2.1GHz3.53.43.33.235W
84002.8GHz4.03.93.81.05GHz65W$182
8400T1.7GHz3.33.23.13.035W
Core i38350K4 [4]4.0GHzN/A1.15GHz8MB91WDDR4

2400

$168
83003.7GHz62W$138
8300T3.2GHz35W
81003.6GHz1.10GHz6MB65W$117
8100T3.1GHz35W

* Processors Core i3-8100 and Core i3-8350K with stepping B0 actually belong to "Kaby Lake-S" family

Mobile processors [H-Series]Edit

Processor

branding

ModelCores

[threads]

CPU

clock rate

Max. Turbo

clock rate

GPUGPU clock rateL3

cache

TDPcTDPPrice

[USD]

BaseMax.DownUp
Core i78850H6 [12]2.6GHz4.3GHzUHD 630350MHz1.15GHz9MB45W35WN/A$395
8750H2.2GHz4.1GHz1.10GHz
8700B3.2GHz4.6GHz1.20GHz12MB65W$303
Core i58500B6 [6]3.0GHz4.1GHz1.10GHz9MB$192
8400B2.8GHz4.0GHz1.05GHz$182
8400H4 [8]2.5GHz4.2GHz1.10GHz8MB45W$250
8300H2.3GHz4.0GHz1.00GHz$250
Core i38100H4 [4]3.0GHzN/A6MB$225

Mobile processors [U-Series]Edit

Processor

branding

ModelCores

[threads]

CPU

clock rate

Max. Turbo

clock rate

GPUGPU clock rateL3

cache

L4 cache

[eDRAM]

TDPcTDPPrice

[USD]

BaseMax.DownUp
Core i78559U4 [8]2.7GHz4.5GHzIris Plus 655300MHz1.20GHz8MB128MB28W20WN/A$431
Core i58269U2.6GHz4.2GHz1.10GHz6MB$320

8259U

2.3GHz3.8GHz1.05GHzN/A
Core i38109U2 [4]3.0GHz3.6GHzUHD 6301.10GHz4MB

Amber Lake microarchitectureEdit

Amber Lake is a refinement over the low power Mobile Kaby Lake CPUs.

Mobile Processors [Y-Series]Edit

Whiskey Lake microarchitectureEdit

Whiskey Lake is Intel's codename for the third 14nm Skylake process-refinement, following Kaby Lake Refresh and Coffee Lake. Intel announced low power mobile Whiskey Lake CPUs availability on August 28, 2018.[82][83] It has not yet been advertised whether this CPU architecture contains hardware mitigations for Meltdown/Spectre class vulnerabilitiesvarious sources contain conflicting information.[84][85][83][86] Unofficially it was announced that Whiskey Lake has hardware mitigations against Meltdown and L1TF while Spectre V2 requires software mitigations as well as microcode/firmware update.[87][88][89][90]

Architecture changes compared to Kaby Lake RefreshEdit

  • 14++ nm process, same as Coffee Lake
  • Increased turbo clocks [300600MHz]
  • 14nm PCH
  • Native USB 3.1 gen 2 support [10Gbit/s]
  • Integrated 802.11ac 160MHz Wi-Fi and Bluetooth 5.0
  • Intel Optane Memory support

Mobile processors [U-Series]Edit

Processor

branding

ModelCores

[threads]

CPU

clock rate

Turbo clock GHz

Num of cores

GPUMax GPU

clock rate

L3

cache

cTDPMemoryPrice124UpDown
Core i78665U4 [8]1.9GHz4.8UHD
620
1150MHz8MB25W10WDDR4-2400

LPDDR3-2133

$409
8565U1.8GHz4.64.54.1$409
Core i58365U1.6GHz4.11100MHz6MB$297
8265U3.93.93.7$297
Core i38145U2 [4]2.1GHz3.93.7N/A1000MHz4MB$281

Cannon Lake microarchitectureEdit

Cannon Lake [formerly Skymont] is Intel's codename for the 10-nanometer die shrink of the Kaby Lake microarchitecture. As a die shrink, Cannon Lake is a new process in Intel's "processarchitectureoptimization" execution plan as the next step in semiconductor fabrication.[91] Cannon Lake are the first mainstream CPUs to include the AVX-512 instruction set. In comparison to the previous generation AVX2 [AVX-256], the new generation AVX-512 most notably provides double the width of data registers and double the number of registers. These enhancements would allow for twice the number of floating point operations per register due to the increased width in addition to doubling the overall number of registers, resulting in theoretical performance improvements of up to four times the performance of AVX2.[92][93]

At CES 2018, Intel announced that they had started shipping mobile Cannon Lake CPUs at the end of 2017 and that they would ramp up production in 2018.[94][95][96] No further details were disclosed.

Architecture changes compared to Coffee LakeEdit

  • AVX-512 instruction set extension
  • Intel's first 10nm process technology

Mobile processors [U-Series]Edit

Processor

branding

ModelCores

[threads]

CPU

clock rate

CPU Turbo

clock rate

GPUGPU clock rateL3

cache

TDPcTDPPrice

[USD]

BaseMax.Down
Core i38121U[97][98]2 [4]2.2GHz3.2GHzN/A4MB15WN/A?

9th generationEdit

Skylake microarchitectureEdit

High-end Desktop processors [X-Series]Edit

The 9th generation Skylake CPUs are updated versions of previous Skylake X-Series CPUs with clockspeed improvements.

Processor BrandingModelCores/ThreadsBase ClockSingle Core Turbo ClockL3 CacheTDPPrice
Core i99980XE18/363.0GHz4.5GHz24.75MB165W$1979
9960X16/323.1GHz22MB$1684
9940X14/283.3GHz19.25MB$1387
9920X12/243.5GHz$1189
9900X10/20$989
9820X3.3GHz4.2GHz16.5MB$889
Core i79800X8/163.8GHz4.5GHz$589

Coffee Lake Refresh microarchitectureEdit

Desktop processors [S-Series]Edit

The 9th generation Coffee Lake CPUs were released in the fourth quarter of 2018. They include hardware mitigations against certain Meltdown/Spectre vulnerabilities.[99][100]

For the first time in Intel consumer CPU history, these CPUs support up to 128GB RAM.[101]

Increase in number of CPU cores in desktop 9th Generation processors8th Generation9th GenerationCores / ThreadsCores / ThreadsCore i3Core i5Core i7Core i9
4 / 404 / 40
6 / 606 / 60
6 / 128 / 8
N/A8 / 16

* Intel Hyper-threading capabilities allow an enabled processor to execute two threads per physical core

Even though the F suffix CPUs lack an integrated GPU, Intel set the same price for these CPUs as their featureful counterparts.[102]

* various reviews show that the Core i9 9900K CPU may consume over 140W under load. The Core i9 9900KS may consume even more.[104][105][106][107]

Mobile processors [H-Series]Edit

10th generationEdit

Cascade Lake microarchitectureEdit

High-end Desktop processors [X-Series]Edit

Cascade Lake X-Series CPUs are the 10th generation versions of the previous Skylake X-Series CPUs. They offer minor clockspeed improvements and a highly reduced price.

Processor BrandingModelCores/ThreadsBase ClockSingle Core Turbo ClockAll Core Turbo ClockL3 CacheTDPPrice
Core i910980XE18/363.0GHz4.8GHz3.8GHz24.75MB165W$979
10940X14/283.3GHz4.1GHz19.25MB$784
10920X12/243.5GHz4.3GHz$689
10900X10/203.7GHz4.7GHz$590

Ice Lake microarchitectureEdit

Ice Lake is codename for Intel's 10th generation Intel Core processors, representing an enhancement of the 'Architecture' of the preceding generation Kaby Lake/Cannon Lake processors [as specified in Intel's processarchitectureoptimization execution plan]. As the successor to Cannon Lake, Ice Lake uses Intel's newer 10nm+ fabrication process, and is powered by the Sunny Cove microarchitecture.

Ice Lake are the first Intel CPUs to feature in-silicon mitigations for the hardware vulnerabilities discovered in 2017, Meltdown and Spectre. These side-channel attacks exploit branch prediction's use of speculative execution. These exploits may cause the CPU to reveal cached private information which the exploiting process is not intended to be able to access as a form of timing attack.[citation needed]

FeaturesEdit

CPUEditGPUEditPackageEdit

Mobile processors [U-Series]Edit

Processor
brandingModelCores
[threads]Base CPU
clock rateTurbo clock GHz

Num of cores

GPUL3
cacheTDPcTDPPrice124SeriesEUsMax clock
rateUpDown
Core i71065G74 [8]1.3GHz3.93.5Iris Plus641.1GHz8MiB15W25W12W$426
Core i51035G71.2GHz3.73.31.05GHz6MiB15W25W12W$320
1035G41.1GHz48$309
1035G11.0GHz3.6UHD3213W$297
Core i31005G12 [4]1.2GHz3.4UHD320.9GHz4MiB15W25W13W$281

Mobile processors [Y-Series]Edit

Processor
brandingModelCores
[threads]Base CPU
clock rateTurbo clock GHz

Num of cores

GPUL3
cacheTDPcTDPPrice124SeriesEUsMax clock
rateUpDown
Core i71060G74 [8]1.0GHz3.83.4Iris Plus641.1GHz8MiB9W12W
Core i51030G70.8GHz3.53.2Iris Plus646MiB9W12W
1030G40.7GHz48
Core i31000NG42 [4]1.1GHz3.2Iris Plus480.9GHz4MiB9W

1000G4

12W
1000G1UHD32

Comet Lake microarchitectureEdit

Comet Lake is Intel's codename for the fourth 14nm Skylake process-refinement, following Whiskey Lake. Intel announced low power mobile Comet Lake CPUs availability on August 21, 2019.[119]

Architecture changes in Comet Lake-U compared to Whiskey LakeEdit

  • Up to six CPU cores; L3 cache up to 12MiB
  • Higher turbo frequencies
  • LPDDR4x 2933 memory support
  • Wi-Fi 6 AX201 support [Depend on PCH chipset][120]
Increase in number of CPU cores in desktop 10th Generation processors9th Generation10th GenerationCores / ThreadsCores / ThreadsCore i3Core i5Core i7Core i9
4 / 44 / 8
6 / 66 / 12
8 / 88 / 16
8 / 1610 / 20

Desktop Processors [S-Series]Edit

Mobile processors [H-Series]Edit

Mobile processors [U-Series]Edit

Comet Lake Refresh microarchitectureEdit

Desktop processorsEdit

Processor
brandingModelCores

[Threads]

CPU clock rate [GHz]GPUSmart
cache

[MB]

TDPMemory
supportPrice
[USD]BaseAll-Core

Turbo

Turbo

Boost 2.0

ModelMax.

freq.

DownBase
Core i5105056 [12]3.24.34.6UHD

630

1.212N/A65DDR4-2666

2-channel

up to 128 GB

$192
Core i3103254 [8]3.94.54.71.158N/A65$154
103053.84.34.5$143
10305T3.03.74.01.102535
101053.74.24.46N/A65$122
10105FN/A$97
10105T3.03.63.9UHD

630

1.102535$122

Amber Lake Refresh microarchitectureEdit

Mobile processors [Y-Series]Edit

11th generationEdit

Tiger LakeEdit

Launched on September 2, 2020.

Architecture changes compared to Ice LakeEdit

CPUEdit

  • Intel Willow Cove CPU cores[121]
  • Larger level two and level three [L2/L3] caches
  • A new AVX-512 instruction: Vector Pair Intersection to a Pair of Mask Registers, VP2INTERSECT[122][123]
  • Control Flow Enforcement Technology to prevent Return Oriented Programming and Jump Oriented Programming hacking techniques[124]
  • Full memory [RAM] encryption[125]
  • Indirect branch tracking and shadow stack[126]
  • Intel Key Locker[127][128]
  • AVX/AVX2 instructions support for Pentium Gold and Celeron processors has been unlocked

GPUEdit

I/OEdit

Miniaturization of CPU and motherboard into an M.2 SSD-sized small circuit board[130]

Mobile processors [Tiger Lake-H]Edit

  • All models support DDR4-3200 memory
  • All models support 20 reconfigurable PCI Express 4.0 lanes, allowing x16 Gen 4 link for discrete GPU and x4 Gen 4 link for M.2 SSDs
Processor

branding

ModelCores

[threads]

Base freq at TDPMax Turbo freq, active coresUHD GraphicsSmart

cache

TDPPrice@35W@45W@65W1 or 246AllEUsMax freq
Corei911980HK8 [16]N/A2.6GHz3.3GHz5.0GHz4.9GHz4.7GHz4.5GHz321.45GHz24MB45-65W$583
11950H vPro2.1GHzN/A35-45W$556
11900H2.5GHz4.9GHz4.8GHz4.6GHz4.4GHz$546
Corei711850H vPro4.8GHz4.8GHz4.6GHz4.3GHz$395
11800H1.9GHz2.3GHz4.6GHz4.5GHz4.4GHz4.2GHz
Corei511500H vPro6 [12]2.4GHz2.9GHz4.6GHz4.4GHz4.2GHz12MB$250
11400H2.2GHz2.7GHz4.5GHz4.3GHz4.1GHz16
11260H2.1GHz2.6GHz4.4GHz4.2GHz4.0GHz1.40GHz

Mobile processors [Tiger Lake-H35]Edit

  • All models support DDR4-3200 or LPDDR4X-4267 memory
Processor

branding

ModelCores

[threads]

Base freq at TDPMax Turbo freq

active cores

Iris Xe GraphicsSmart

cache

TDPPrice@28 W@35 W12AllEUsMax freq
Corei711390H4 [8]2.9GHz3.4GHz5.0GHz4.6GHz961.40GHz12MB28-35W$426
11375H3.0GHz3.3GHz5.0GHz4.8GHz4.3GHz1.35GHz$482
11370H4.8GHz$426
Corei511320H2.5GHz3.2GHz4.5GHz8MB$309
11300H2.6GHz3.1GHz4.4GHz4.0GHz801.30GHz

Mobile processors [UP3-class]Edit

Processor

branding

ModelCores

[threads]

Base freq at TDPMax Turbo freqGPUSmart

cache

TDPMemory

support

Price@12 W@15 W@28 W1 CoreAll CoresSeriesEUsMax freq
Corei71195G74 [8]1.3GHz2.9GHz5.0GHz4.6GHzIrisXe961.40GHz12MB12-28WDDR4-3200

LPDDR4X-4267

$426
1185G7 vPro1.2GHz1.8GHz[140]3.0GHz4.8GHz4.3GHz1.35GHz
1165G71.2GHz1.7GHz2.8GHz4.7GHz4.1GHz1.30GHz
Corei51155G71.0GHz2.5GHz4.5GHz4.3GHz801.35GHz8MB$309
1145G7 vPro1.1GHz1.5GHz2.6GHz4.4GHz3.8GHz1.30GHz
1135G70.9GHz1.4GHz2.4GHz4.2GHz3.8GHz
Corei31125G42.0GHz3.7GHz3.3GHzUHD481.25GHzDDR4-3200

LPDDR4X-3733

$281
1115G42 [4]1.7GHz2.2GHz3.0GHz4.1GHz6MB

Embedded mobile processors [UP3-class]Edit

Processor

branding

ModelCores

[threads]

Base freq at TDPMax

Turbo freq

GPUSmart

cache

TDPMemory supportPrice@12 W@15 W@28 WSeriesEUsMax freqTypeECC
Corei71185GRE vPro4 [8]1.2GHz1.8GHz2.8GHz4.4GHzIrisXe961.35GHz12MB15WDDR4-3200

LPDDR4X-4267

Yes$490
1185G7E vProNo$431
Corei51145GRE vPro1.1GHz1.5GHz2.6GHz4.1GHz801.30GHz8MBYes$362
1145G7E vProNo$312
Corei31115GRE2 [4]1.7GHz2.2GHz3.0GHz3.9GHzUHD481.25GHz6MBDDR4-3200

LPDDR4X-3733

Yes$338
1115G4ENo$285

Mobile processors [UP4-class]Edit

Processor

branding

ModelCores

[threads]

Base freq at TDPMax Turbo freqGPUSmart

cache

TDPMemory

support

Price@7 W@9 W@15 W1 CoreAll CoresSeriesEUsMax freq
Corei71180G7 vPro4 [8]0.9GHz2.2GHz4.6GHzIrisXe961.10GHz12MB7-15WLPDDR4X-4267$426
1160G71.2GHz2.1GHz4.4GHz3.6GHz
Corei51140G7 vPro0.8GHz1.8GHz4.2GHz808MB$309
1130G71.1GHz4.0GHz3.4GHz
Corei31120G41.5GHz3.5GHz3.0GHzUHD48$281
1110G42 [4]1.5GHz1.8GHz2.5GHz3.9GHz6MB

Desktop/tablet processors [Tiger Lake-B]Edit

  • Socket: FCBGA1787, a BGA socket, thus these CPUs are meant only for system integrators
  • Intel Xe UHD Graphics
  • Up to 128 GB DDR4-3200 memory
Processsor

branding

ModelCores

[threads]

Base / Boost / TVB Clocks [GHz]L3 Cache

[MB]

TDPGPU

EU

GPU

Max freq

Price
Core i911900KB8 [16]3.3 / 4.9 / 5.32465 W321.45 GHz$539
Core i711700B3.2 / 4.8 / 5.3
Core i511500B6 [12]3.3 / 4.6 / 5.312
Core i311100B4 [8]3.6 / 4.4 / 5.3161.4 GHz

Rocket Lake microarchitectureEdit

Rocket Lake is a codename for Intels desktop x86 chip family based on the new Cypress Cove microarchitecture, a variant of Sunny Cove [used by Intel's Ice Lake mobile processors] backported to the older 14nm process.[142] The chips are marketed as "Intel 11th generation Core". Launched March 30, 2021.

Architecture changes in comparison with Comet LakeEdit

CPUEdit

  • Intel Cypress Cove CPU cores
  • Up to 19% claimed increase in IPC [instructions-per-clock][142][143]
  • DL Boost [low-precision arithmetic for Deep Learning] and AVX-512 instructions
  • Compared to its predecessors, SGX instruction set extensions are removed[144][145][but remained in processors with the prefix B][146]

GPUEdit

I/OEdit

Desktop processorsEdit

  • All CPUs listed below support DDR4-3200 natively. The Core i9 K/KF processors enable a 1:1 ratio of DRAM to memory controller by default at DDR4-3200, whereas the Core i9 non K/KF and all other CPUs listed below enable a 2:1 ratio of DRAM to memory controller by default at DDR4-3200 and a 1:1 ratio by default at DDR4-2933.[160]
  • All CPUs support up to 128 GiB of RAM in dual channel mode
  • Core i9 CPUs [except 11900T] support Intel Thermal Velocity Boost technology

12th generationEdit

Alder LakeEdit

Alder Lake is Intel's codename for the 12th generation of Intel Core processors based on a hybrid architecture utilizing Golden Cove high-performance cores and Gracemont power-efficient cores.[161]
It is fabricated using Intel's Intel 7 process, previously referred to as Intel 10 nm Enhanced SuperFin [10ESF].
Intel officially announced 12th Gen Intel Core CPUs on October 27, 2021 and was launched to the market on November 4, 2021.[162]

Architecture changes in comparison to Rocket LakeEdit

CPUEdit

  • Golden Cove high-performance "Performance-cores" [P-cores]
    • Dedicated floating-point adders[163]
    • New 6-wide instruction decoder [up from 4-wide in Rocket Lake/Tiger Lake] with the ability to fetch up to 32 bytes of instructions per cycle [up from 16][163]
    • 12 execution ports [up from 10]
    • 512 reorder-buffer entries [up from 384]
    • 6-wide µOP allocations [up from 5]
    • µOP cache size increased to 4K entries [up from 2.25K]
    • AVX-VNNI, a VEX-coded variant of AVX512-VNNI for 256-bit vectors
    • AVX-512 [including FP16] is present but disabled by default to match E-cores. It still can be enabled on some motherboards by disabling the E-cores [163][164]
  • Gracemont high-efficiency "Efficient-cores" [E-cores]
    • E-cores are organized in 4-core modules; L2 cache is shared between E-cores within a module
    • 256 reorder-buffer entries [up from 208 in Tremont]
    • 17 execution ports [up from 12]
    • AVX2, FMA and AVX-VNNI to catch up with P-cores
  • New instruction set extensions[165]
  • Up to 1 TB/s interconnect between cores[163]
  • Intel Thread Director [Scalable Hybrid Arch Scheduling], a hardware technology to assist the OS thread scheduler with more efficient load distribution between heterogeneous CPU cores.[140] Enabling this new capability requires support in operating systems. Microsoft added support for Thread Director to Windows 11[163][166]
  • Up to 30 MB L3 cache[163]
  • Nomenclature:
  • Only P-cores feature Hyper-threading

GPUEdit

  • Intel Xe [Gen12.2] GPU
  • Up to 96 EU on mobile and 32 EU on desktop[163]

I/OEdit

Desktop processorsEdit

  • All the CPUs support up to 128 GB of DDR4-3200 or DDR5-4800 RAM in dual channel mode.[168]
  • Some models feature integrated UHD 770 GPU with 32 EUs and base frequency of 300 MHz.
  • Max Turbo Power: the maximum sustained [>1s] power dissipation of the processor as limited by current and/or temperature controls. Instantaneous power may exceed Maximum Turbo Power for short durations [

Chủ Đề